화학공학 전문가 양성
아주대학교 화학공학과

표면공정연구실

연구성과

논문실적 (SCI, 2002년 이후)
  • S. M. S. I. Dulal, Hyeong Jin Yun, Chee Burm Shin, and Chang-Koo Kim, "Electrodeposition of CoWP film V. Structural and morphological characteristics", Applied Surface Science, in press.
  • Chijung Kim, Donghun Jeong, Jinha Hwang, Heeyeop Chae, and Chang-Koo Kim, "Argon and nitrogen plasma surface treatments of polyimide films for electroless copper plating", Journal of Korean Physical Society, in press.
  • Sang Ho Woo, Yil Wook Kim, Pyung Yong Um, Hae-Min Lee, and Chang-Koo Kim, "Film Properties of Nitrogen-Doped Polycrystalline Silicon for Advanced Gate Material", Korean Journal of Chemical Engineering, in press.
  • Hyongmoo Rhee, Hae Min Lee, Yun Mi Namkoung, Chang-Koo Kim, Heeyeop Chae, and Yil Wook Kim, "Dependence of Etch Rates of Silicon Substrates on the Use of C4F8 and C4F6 Plasmas in the Deposition Step of the Bosch Process", Journal of Vacuum Science and Technology B, 27 (1), pp.33-40 (2009, Jan.).
  • S. M. S. I. Dulal, Tae Ho Kim, Hyongmoo Rhee, Joon Yong Sung, and Chang-Koo Kim, "Development of an alkali-metal-free bath for electroless deposition of Co-W-P capping layers for copper interconnections", Journal of Alloys and Compounds, 467 (1-2), pp.370-375 (2009, Jan.).
  • Hyeong Jin Yun, S. M. S. I. Dulal, Chee Burm Shin, and Chang-Koo Kim, "Characterisation of electrodeposited Co-W-P amorphous coatings on carbon steel", Electrochimica Acta, 54 (2), pp.370-375 (2008, Dec.).
  • S. M. S. I. Dulal, Tae Ho Kim, Chee Burm Shin, and Chang-Koo Kim, "Electrodeposition of CoWP film IV. Effect of applied potential and current density", Journal of Alloys and Compounds, 461 (1-2), pp.382-388 (2008, Aug.).
  • John Kiran Anthony, Hee Cheoul Kim, Hwang Woon Lee, S. Kumar Mahapatra, Hae Min Lee, Chang-Koo Kim, Kihong Kim, Hanjo Lim, and Fabian Rotermund, "Particle size-dependent giant nonlinear absorption in nanostructured Ni-Ti alloys", Optics Express, 16 (15), pp.11193-11202 (2008, Jul.).
  • Tae Ho Kim, S. M. S. I. Dulal, Chang Han Park, Heeyeop Chae, and Chang-Koo Kim, "Optimisation of process parameters for electroless plating of Co-W-P capping layers from an alkali-metal-free bath", Surface & Coatings Technology, 202 (19), pp.4861-4867 (2008, Jun.).
  • Hyongmoo Rhee, Hyeokkyu Kwon, Chang-Koo Kim, HyunJung Kim, Jaisuk Yoo, and Yil Wook Kim, "Comparison of deep silicon etching using SF6/C4F8 and SF6/C4F6 plasmas in the Bosch process", Journal of Vacuum Science and Technology B, 26 (2), pp.576-581 (2008, Mar.).
  • S. M. S. I. Dulal, Chee Burm Shin, Joon Yong Sung, and Chang-Koo Kim, "Electrodeposition of CoWP film II. Effect of electrolyte concentration", Journal of Applied Electrochemistry, 38 (1), pp.83-91 (2008, Jan.).
  • S. M. S. I. Dulal, Hyeong Jin Yun, Chee Burm Shin, and Chang-Koo Kim, "Electrodeposition of CoWP film III. Effect of pH and temperature", Electrochimica Acta, 53 (2), pp.934-943 (2007, Dec.).
  • S. M. S. I. Dulal, Hyeong Jin Yun, Chee Burm Shin, and Chang-Koo Kim, "Electrodeposition of CoWP Film I. Electrochemical and Compositional Analyses", Journal of Electrochemical Society, 154 (10), pp.D494-D501 (2007, Oct.).
  • Sungi Jang, Heeyeop Chae, Donggeun Jung, Hyoungsub Kim, and Chang-Koo Kim, "Simultaneous Oxygen Plasma and Thermal Treatments of an ITO Surface to Improve the Electrical Characteristics of Organic Light-Emitting Diodes", Journal of Korean Physical Society, 51 (3), pp.956-962 (2007, Sep.).
  • Hyeong Jin Yun, Tae Ho Kim, Chee Burm Shin, Chang-Koo Kim, Jae-Ho Min, and Sang-Heup Moon, "A Comparative Study on Atomic Scale Etching of Poly-Si in Inductively Coupled Ar and He Plasmas", Korean Journal of Chemical Engineering, 24 (4), pp.670-673 (2007, Aug.).
  • Hyun-Kyu Ryu, Yil-Wook Kim, Kangtaek Lee, Chee Burm Shin, and Chang-Koo Kim, "A Comparative Study on a High Aspect Ratio Contact Hole Etching in UFC-containing and PFC-containing Plasmas", Microelectronics Journal, 38 (1), pp.125-129 (2007, Jan.)
  • Jae-Ho Min, Jin-kwan Lee, Sang Heup Moon, and Chang-Koo Kim, "Interactive Relationships between Sidewall and Bottom Etch Rates, As-affected by Sidewall angle, during SiO2 Etching in a CHF3 Plasma", Journal of Vacuum Science and Technology B, 24 (4), pp.1746-1754 (2006).
  • Hyun-Kyu Ryu, Yil-Wook Kim, Kangtaek Lee, Chee Burm Shin, and Chang-Koo Kim, "Effect of Wafer Cleaning on the Interconnect Structure and Its Electrical Properties during the Al Dual Damascene Process for the Fabrication of Sub-100 nm Memory Devices", Journal of Chemical Engineering of Japan, 38 (11), pp.922-928 (2005).
  • Jae-Ho Min, Jin-kwan Lee, Sang Heup Moon, and Chang-Koo Kim, "Deep Etching of Silicon with Smooth Sidewalls by an Improved Gas-Chopping Process Using a Faraday Cage and a High Bias Voltage", Journal of Vacuum Science and Technology B, 23 (4), pp.1405-1411 (2005).
  • Jae-Ho Min, Gyeo-Re Lee, Jin-kwan Lee, Sang Heup Moon, and Chang-Koo Kim, "Effect of Sidewall Properties on the Bottom Microtrench during SiO2 Etching in a CF4 Plasma", Journal of Vacuum Science and Technology B, 23 (2), pp.425-432 (2005).
  • Chang-Koo Kim and Chee Burm Shin, "Plasma Molding over Surface Topography: Measurement of Energy and Angular Distributions of Ions Extracted through a Large Hole", Thin Solid Films, 475 (1-2), pp.24-31 (2005).
  • Jae-Ho Min, Gyeo-Re Lee, Jin-kwan Lee, Chang-Koo Kim, and Sang Heup Moon "Improvement of SiO2 Pattern Profiles Etched in CF4 and SF6 Plasmas by Using a Faraday Cage and Neutral Beams", Surface and Coatings Technology, 193 (1-3), pp.75-80 (2005).
  • Chang-Koo Kim, "Ion Dynamics in Plasma Processing for the Fabrication of Ultrafine Structures", Korean Journal of Chemical Engineering, 22 (5), pp.762-769 (2005).
  • . Zhijian Wu, Hyeonwoo Joo, Ik-Sung Ahn, Jung-Hyun Kim, Chang-Koo Kim, and Kangtaek Lee, "Design of Doped Hybrid Xerogels for a Controlled Release of Brillant Blue FCF", Journal of Non-Crystalline Solids, 342, pp.46-53 (2004).
  • Jae-Ho Min, Gyeo-Re Lee, Jin-kwan Lee, Sang Heup Moon, and Chang-Koo Kim, "Angular Dependence of Etch Raes in the Etching of Poly-Si and Fluorocarbon Polymer Using SF6, C4F8, and O2 Plasmas", Journal of Vacuum Science and Technology A, 22 (3), pp.661-669 (2004).
  • Jae-Ho Min, Gyeo-Re Lee, Jin-kwan Lee, Sang Heup Moon, and Chang-Koo Kim, "Dependences of Bottom and Sidewall Etch Rates on Bias Voltage and Source Power during the Etching of Poly-Si and Fluorocarbon Polymer Using SF6, C4F8, and O2 Plasmas", Journal of Vacuum Science and Technology B, 22 (3), pp.893-901 (2004).
  • Chang-Koo Kim, "Analysis of Langmuir Probe Data in High Density Plasmas", Korean Journal of Chemical Engineering, 21 (3), pp.746-751 (2004).
  • Hyun-Kyu Ryu, Byung-Seok Lee, Sung-Ki Park, Il-Wook Kim, and Chang-Koo Kim, "Effect of CH2F2 Addition on a High Aspect Ratio Contact Hole Etching in a C4F6/O2/Ar Plasma", Electrochemical and Solid-State Letters, 6 (9), pp.C126-C129 (2003).
  • Jung-Hyun Ryu, Byeong-Ok Cho, Sung-Wook Hwang, Sang Heup Moon, and Chang-Koo Kim, "Trajectories of Ions inside Faraday Cage Located in a High Density Plasma Etcher", Korean Journal of Chemical Engineering, 20 (2), pp.407-413 (2003).
  • Chang-Koo Kim and Demetre J. Economou, "Plasma Molding over Surface Topography : Energy and Angular Distribution of Ions Extracted out of Large Holes", Journal of Applied Physics, 91 (5), pp.2594-2603 (2002).